_start1307s: ;bináris óra készdarab.c,59 :: void start1307s(){ ;bináris óra készdarab.c,61 :: Soft_I2C_Start(); // Issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,62 :: Soft_I2C_Write(0xD0); // Address DS1307 // WR to RTC D a címe az RTC-nek 1101000+ íráshoz 0, olvasáshoz 1 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,63 :: Soft_I2C_Write(0); // Start from address 0 CLRF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,64 :: Soft_I2C_Start(); // Issue repeated start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,65 :: Soft_I2C_Write(0xD1); // Address DS1307 for reading R/W=1 // RD from RTC MOVLW 209 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,66 :: seconds_temp = Soft_I2C_Read(0); // Read seconds byte 0: nincs ACK jel CLRF FARG_Soft_I2C_Read_ack+0 CLRF FARG_Soft_I2C_Read_ack+1 CALL _Soft_I2C_Read+0 MOVF R0+0, 0 MOVWF _seconds_temp+0 ;bináris óra készdarab.c,67 :: Soft_I2C_Stop(); // Issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,69 :: seconds_temp &= 0b01111111; //bit 7 0-ra állítása, mert induláskor nem mindig stabilan 0 az értéke datasheet szerint MOVLW 127 ANDWF _seconds_temp+0, 1 ;bináris óra készdarab.c,71 :: Soft_I2C_Start(); // issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,72 :: Soft_I2C_Write(0xD0); // address DS1307 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,73 :: Soft_I2C_Write(0); // start from word at address (REG0) CLRF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,74 :: Soft_I2C_Write(seconds_temp); // MOVF _seconds_temp+0, 0 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,75 :: Soft_I2C_Stop(); // issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,78 :: } RETURN ; end of _start1307s _Read_Time: ;bináris óra készdarab.c,80 :: void Read_Time() { ;bináris óra készdarab.c,82 :: Soft_I2C_Start(); // Issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,83 :: Soft_I2C_Write(0xD0); // Address DS1307 // WR to RTC D a címe az RTC-nek 1101000+ íráshoz 0, olvasáshoz 1 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,84 :: Soft_I2C_Write(0); // Start from address 0 CLRF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,85 :: Soft_I2C_Start(); // Issue repeated start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,86 :: Soft_I2C_Write(0xD1); // Address DS1307 for reading R/W=1 // RD from RTC MOVLW 209 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,87 :: seconds = Soft_I2C_Read(0); // Read seconds byte 0: nincs ACK jel CLRF FARG_Soft_I2C_Read_ack+0 CLRF FARG_Soft_I2C_Read_ack+1 CALL _Soft_I2C_Read+0 MOVF R0+0, 0 MOVWF _seconds+0 ;bináris óra készdarab.c,88 :: Soft_I2C_Stop(); // Issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,91 :: Soft_I2C_Start(); // Issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,92 :: Soft_I2C_Write(0xD0); // Address DS1307 // WR to RTC D a címe az RTC-nek 1101000+ íráshoz 0, olvasáshoz 1 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,93 :: Soft_I2C_Write(1); // Start from address 0 MOVLW 1 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,94 :: Soft_I2C_Start(); // Issue repeated start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,95 :: Soft_I2C_Write(0xD1); // Address DS1307 for reading R/W=1 // RD from RTC MOVLW 209 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,96 :: minutes = Soft_I2C_Read(0); // Read seconds byte 0: nincs ACK jel CLRF FARG_Soft_I2C_Read_ack+0 CLRF FARG_Soft_I2C_Read_ack+1 CALL _Soft_I2C_Read+0 MOVF R0+0, 0 MOVWF _minutes+0 ;bináris óra készdarab.c,97 :: Soft_I2C_Stop(); // Issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,99 :: Soft_I2C_Start(); // Issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,100 :: Soft_I2C_Write(0xD0); // Address DS1307 // WR to RTC D a címe az RTC-nek 1101000+ íráshoz 0, olvasáshoz 1 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,101 :: Soft_I2C_Write(2); // Start from address 0 MOVLW 2 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,102 :: Soft_I2C_Start(); // Issue repeated start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,103 :: Soft_I2C_Write(0xD1); // Address DS1307 for reading R/W=1 // RD from RTC MOVLW 209 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,104 :: hours = Soft_I2C_Read(0); // Read seconds byte 0: nincs ACK jel CLRF FARG_Soft_I2C_Read_ack+0 CLRF FARG_Soft_I2C_Read_ack+1 CALL _Soft_I2C_Read+0 MOVF R0+0, 0 MOVWF _hours+0 ;bináris óra készdarab.c,105 :: Soft_I2C_Stop(); // Issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,107 :: } RETURN ; end of _Read_Time _Transform_Time: ;bináris óra készdarab.c,110 :: void Transform_Time() { ;bináris óra készdarab.c,112 :: masodperc_egyes = (seconds & 0b00001111); MOVLW 15 ANDWF _seconds+0, 0 MOVWF _masodperc_egyes+0 CLRF _masodperc_egyes+1 MOVLW 0 ANDWF _masodperc_egyes+1, 1 MOVLW 0 MOVWF _masodperc_egyes+1 ;bináris óra készdarab.c,113 :: masodperc_tizes = ((seconds >> 4) & 0b00000111); MOVLW 4 MOVWF R0+0 MOVF _seconds+0, 0 MOVWF _masodperc_tizes+0 CLRF _masodperc_tizes+1 MOVF R0+0, 0 L__Transform_Time77: BTFSC STATUS+0, 2 GOTO L__Transform_Time78 RRF _masodperc_tizes+0, 1 BCF _masodperc_tizes+0, 7 ADDLW 255 GOTO L__Transform_Time77 L__Transform_Time78: MOVLW 7 ANDWF _masodperc_tizes+0, 1 CLRF _masodperc_tizes+1 MOVLW 0 ANDWF _masodperc_tizes+1, 1 MOVLW 0 MOVWF _masodperc_tizes+1 ;bináris óra készdarab.c,116 :: perc_egyes = (minutes & 0b00001111); MOVLW 15 ANDWF _minutes+0, 0 MOVWF _perc_egyes+0 CLRF _perc_egyes+1 MOVLW 0 ANDWF _perc_egyes+1, 1 MOVLW 0 MOVWF _perc_egyes+1 ;bináris óra készdarab.c,117 :: perc_tizes = ((minutes >> 4) & 0b00000111); MOVLW 4 MOVWF R0+0 MOVF _minutes+0, 0 MOVWF _perc_tizes+0 CLRF _perc_tizes+1 MOVF R0+0, 0 L__Transform_Time79: BTFSC STATUS+0, 2 GOTO L__Transform_Time80 RRF _perc_tizes+0, 1 BCF _perc_tizes+0, 7 ADDLW 255 GOTO L__Transform_Time79 L__Transform_Time80: MOVLW 7 ANDWF _perc_tizes+0, 1 CLRF _perc_tizes+1 MOVLW 0 ANDWF _perc_tizes+1, 1 MOVLW 0 MOVWF _perc_tizes+1 ;bináris óra készdarab.c,119 :: ora_egyes = (hours & 0b00001111); MOVLW 15 ANDWF _hours+0, 0 MOVWF _ora_egyes+0 CLRF _ora_egyes+1 MOVLW 0 ANDWF _ora_egyes+1, 1 MOVLW 0 MOVWF _ora_egyes+1 ;bináris óra készdarab.c,120 :: ora_tizes = ((hours >> 4) & 0b00000111); MOVLW 4 MOVWF R0+0 MOVF _hours+0, 0 MOVWF _ora_tizes+0 CLRF _ora_tizes+1 MOVF R0+0, 0 L__Transform_Time81: BTFSC STATUS+0, 2 GOTO L__Transform_Time82 RRF _ora_tizes+0, 1 BCF _ora_tizes+0, 7 ADDLW 255 GOTO L__Transform_Time81 L__Transform_Time82: MOVLW 7 ANDWF _ora_tizes+0, 1 CLRF _ora_tizes+1 MOVLW 0 ANDWF _ora_tizes+1, 1 MOVLW 0 MOVWF _ora_tizes+1 ;bináris óra készdarab.c,122 :: } RETURN ; end of _Transform_Time _kijelzo_torlese: ;bináris óra készdarab.c,125 :: void kijelzo_torlese (){ ;bináris óra készdarab.c,126 :: oszlop1=0, oszlop2=0, oszlop3=0, oszlop4=0, oszlop5=0, oszlop6=0; BCF RC7_bit+0, 7 BCF RB7_bit+0, 7 BCF RC5_bit+0, 5 BCF RC4_bit+0, 4 BCF RC3_bit+0, 3 BCF RC6_bit+0, 6 ;bináris óra készdarab.c,127 :: sor1=1, sor2=1, sor3=1, sor4=1; //pnp tranzisztorok miatt fordított jel BSF RC0_bit+0, 0 BSF RB5_bit+0, 5 BSF RC1_bit+0, 1 BSF RC2_bit+0, 2 ;bináris óra készdarab.c,128 :: } RETURN ; end of _kijelzo_torlese _masodperc_perc_ora_valtasok: ;bináris óra készdarab.c,130 :: void masodperc_perc_ora_valtasok (){ ;bináris óra készdarab.c,134 :: if(masodperc_egyes==10) masodperc_egyes=0, masodperc_tizes++; MOVLW 0 XORWF _masodperc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok83 MOVLW 10 XORWF _masodperc_egyes+0, 0 L__masodperc_perc_ora_valtasok83: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok0 CLRF _masodperc_egyes+0 CLRF _masodperc_egyes+1 INCF _masodperc_tizes+0, 1 BTFSC STATUS+0, 2 INCF _masodperc_tizes+1, 1 L_masodperc_perc_ora_valtasok0: ;bináris óra készdarab.c,136 :: if(masodperc_tizes==6 && masodperc_egyes==0) masodperc_tizes=0, perc_egyes++; MOVLW 0 XORWF _masodperc_tizes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok84 MOVLW 6 XORWF _masodperc_tizes+0, 0 L__masodperc_perc_ora_valtasok84: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok3 MOVLW 0 XORWF _masodperc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok85 MOVLW 0 XORWF _masodperc_egyes+0, 0 L__masodperc_perc_ora_valtasok85: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok3 L__masodperc_perc_ora_valtasok73: CLRF _masodperc_tizes+0 CLRF _masodperc_tizes+1 INCF _perc_egyes+0, 1 BTFSC STATUS+0, 2 INCF _perc_egyes+1, 1 L_masodperc_perc_ora_valtasok3: ;bináris óra készdarab.c,138 :: if(perc_egyes==10) perc_egyes=0, perc_tizes++; MOVLW 0 XORWF _perc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok86 MOVLW 10 XORWF _perc_egyes+0, 0 L__masodperc_perc_ora_valtasok86: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok4 CLRF _perc_egyes+0 CLRF _perc_egyes+1 INCF _perc_tizes+0, 1 BTFSC STATUS+0, 2 INCF _perc_tizes+1, 1 L_masodperc_perc_ora_valtasok4: ;bináris óra készdarab.c,140 :: if(perc_tizes==6 && perc_egyes==0) perc_tizes=0, ora_egyes++; MOVLW 0 XORWF _perc_tizes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok87 MOVLW 6 XORWF _perc_tizes+0, 0 L__masodperc_perc_ora_valtasok87: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok7 MOVLW 0 XORWF _perc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok88 MOVLW 0 XORWF _perc_egyes+0, 0 L__masodperc_perc_ora_valtasok88: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok7 L__masodperc_perc_ora_valtasok72: CLRF _perc_tizes+0 CLRF _perc_tizes+1 INCF _ora_egyes+0, 1 BTFSC STATUS+0, 2 INCF _ora_egyes+1, 1 L_masodperc_perc_ora_valtasok7: ;bináris óra készdarab.c,142 :: if(ora_egyes==10) ora_egyes=0, ora_tizes++; MOVLW 0 XORWF _ora_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok89 MOVLW 10 XORWF _ora_egyes+0, 0 L__masodperc_perc_ora_valtasok89: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok8 CLRF _ora_egyes+0 CLRF _ora_egyes+1 INCF _ora_tizes+0, 1 BTFSC STATUS+0, 2 INCF _ora_tizes+1, 1 L_masodperc_perc_ora_valtasok8: ;bináris óra készdarab.c,144 :: if(ora_tizes==2 && ora_egyes==4) ora_tizes=0, ora_egyes=0; MOVLW 0 XORWF _ora_tizes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok90 MOVLW 2 XORWF _ora_tizes+0, 0 L__masodperc_perc_ora_valtasok90: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok11 MOVLW 0 XORWF _ora_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok91 MOVLW 4 XORWF _ora_egyes+0, 0 L__masodperc_perc_ora_valtasok91: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok11 L__masodperc_perc_ora_valtasok71: CLRF _ora_tizes+0 CLRF _ora_tizes+1 CLRF _ora_egyes+0 CLRF _ora_egyes+1 L_masodperc_perc_ora_valtasok11: ;bináris óra készdarab.c,149 :: if(perc_egyes==-1) perc_egyes=9, perc_tizes--; MOVLW 255 XORWF _perc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok92 MOVLW 255 XORWF _perc_egyes+0, 0 L__masodperc_perc_ora_valtasok92: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok12 MOVLW 9 MOVWF _perc_egyes+0 MOVLW 0 MOVWF _perc_egyes+1 MOVLW 1 SUBWF _perc_tizes+0, 1 BTFSS STATUS+0, 0 DECF _perc_tizes+1, 1 L_masodperc_perc_ora_valtasok12: ;bináris óra készdarab.c,151 :: if(perc_tizes==-1 && perc_egyes==9) perc_tizes=5, ora_egyes--; MOVLW 255 XORWF _perc_tizes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok93 MOVLW 255 XORWF _perc_tizes+0, 0 L__masodperc_perc_ora_valtasok93: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok15 MOVLW 0 XORWF _perc_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok94 MOVLW 9 XORWF _perc_egyes+0, 0 L__masodperc_perc_ora_valtasok94: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok15 L__masodperc_perc_ora_valtasok70: MOVLW 5 MOVWF _perc_tizes+0 MOVLW 0 MOVWF _perc_tizes+1 MOVLW 1 SUBWF _ora_egyes+0, 1 BTFSS STATUS+0, 0 DECF _ora_egyes+1, 1 L_masodperc_perc_ora_valtasok15: ;bináris óra készdarab.c,153 :: if(ora_egyes==-1) ora_egyes=9, ora_tizes--; MOVLW 255 XORWF _ora_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok95 MOVLW 255 XORWF _ora_egyes+0, 0 L__masodperc_perc_ora_valtasok95: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok16 MOVLW 9 MOVWF _ora_egyes+0 MOVLW 0 MOVWF _ora_egyes+1 MOVLW 1 SUBWF _ora_tizes+0, 1 BTFSS STATUS+0, 0 DECF _ora_tizes+1, 1 L_masodperc_perc_ora_valtasok16: ;bináris óra készdarab.c,155 :: if(ora_tizes==-1 && ora_egyes==9) ora_tizes=2, ora_egyes=3; MOVLW 255 XORWF _ora_tizes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok96 MOVLW 255 XORWF _ora_tizes+0, 0 L__masodperc_perc_ora_valtasok96: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok19 MOVLW 0 XORWF _ora_egyes+1, 0 BTFSS STATUS+0, 2 GOTO L__masodperc_perc_ora_valtasok97 MOVLW 9 XORWF _ora_egyes+0, 0 L__masodperc_perc_ora_valtasok97: BTFSS STATUS+0, 2 GOTO L_masodperc_perc_ora_valtasok19 L__masodperc_perc_ora_valtasok69: MOVLW 2 MOVWF _ora_tizes+0 MOVLW 0 MOVWF _ora_tizes+1 MOVLW 3 MOVWF _ora_egyes+0 MOVLW 0 MOVWF _ora_egyes+1 L_masodperc_perc_ora_valtasok19: ;bináris óra készdarab.c,156 :: } RETURN ; end of _masodperc_perc_ora_valtasok _sorinformacio_kikuldese: ;bináris óra készdarab.c,159 :: void sorinformacio_kikuldese (){ ;bináris óra készdarab.c,161 :: switch(sorinfo){ GOTO L_sorinformacio_kikuldese20 ;bináris óra készdarab.c,162 :: case 1: sor1=0; break; L_sorinformacio_kikuldese22: BCF RC0_bit+0, 0 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,163 :: case 2: sor2=0; break; L_sorinformacio_kikuldese23: BCF RB5_bit+0, 5 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,164 :: case 3: sor2=0, sor1=0; break; L_sorinformacio_kikuldese24: BCF RB5_bit+0, 5 BCF RC0_bit+0, 0 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,165 :: case 4: sor3=0; break; L_sorinformacio_kikuldese25: BCF RC1_bit+0, 1 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,166 :: case 5: sor3=0, sor1=0 ; break; L_sorinformacio_kikuldese26: BCF RC1_bit+0, 1 BCF RC0_bit+0, 0 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,167 :: case 6: sor3=0, sor2=0; break; L_sorinformacio_kikuldese27: BCF RC1_bit+0, 1 BCF RB5_bit+0, 5 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,168 :: case 7: sor3=0, sor2=0, sor1=0; break; L_sorinformacio_kikuldese28: BCF RC1_bit+0, 1 BCF RB5_bit+0, 5 BCF RC0_bit+0, 0 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,169 :: case 8: sor4=0; break; L_sorinformacio_kikuldese29: BCF RC2_bit+0, 2 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,170 :: case 9: sor4=0, sor1=0; break; L_sorinformacio_kikuldese30: BCF RC2_bit+0, 2 BCF RC0_bit+0, 0 GOTO L_sorinformacio_kikuldese21 ;bináris óra készdarab.c,171 :: } L_sorinformacio_kikuldese20: MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese98 MOVLW 1 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese98: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese22 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese99 MOVLW 2 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese99: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese23 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese100 MOVLW 3 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese100: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese24 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese101 MOVLW 4 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese101: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese25 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese102 MOVLW 5 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese102: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese26 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese103 MOVLW 6 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese103: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese27 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese104 MOVLW 7 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese104: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese28 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese105 MOVLW 8 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese105: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese29 MOVLW 0 XORWF _sorinfo+1, 0 BTFSS STATUS+0, 2 GOTO L__sorinformacio_kikuldese106 MOVLW 9 XORWF _sorinfo+0, 0 L__sorinformacio_kikuldese106: BTFSC STATUS+0, 2 GOTO L_sorinformacio_kikuldese30 L_sorinformacio_kikuldese21: ;bináris óra készdarab.c,172 :: } RETURN ; end of _sorinformacio_kikuldese _interrupt: MOVWF R15+0 SWAPF STATUS+0, 0 CLRF STATUS+0 MOVWF ___saveSTATUS+0 MOVF PCLATH+0, 0 MOVWF ___savePCLATH+0 CLRF PCLATH+0 ;bináris óra készdarab.c,175 :: void interrupt(void){ ;bináris óra készdarab.c,177 :: if (INTCON.RABIF==1){ //rotary encoder interrupt BTFSS INTCON+0, 0 GOTO L_interrupt31 ;bináris óra készdarab.c,179 :: A_csatorna_allapot = RA4_bit; BTFSC RA4_bit+0, 4 GOTO L__interrupt108 BCF _A_csatorna_allapot+0, BitPos(_A_csatorna_allapot+0) GOTO L__interrupt109 L__interrupt108: BSF _A_csatorna_allapot+0, BitPos(_A_csatorna_allapot+0) L__interrupt109: ;bináris óra készdarab.c,180 :: B_csatorna_allapot = RA5_bit; BTFSC RA5_bit+0, 5 GOTO L__interrupt110 BCF _B_csatorna_allapot+0, BitPos(_B_csatorna_allapot+0) GOTO L__interrupt111 L__interrupt110: BSF _B_csatorna_allapot+0, BitPos(_B_csatorna_allapot+0) L__interrupt111: ;bináris óra készdarab.c,182 :: if(allitas_uzemmod==1){ BTFSS _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_interrupt32 ;bináris óra készdarab.c,183 :: if (A_csatorna_elozo_allapot == 0 && A_csatorna_allapot == 1 ) { BTFSC _A_csatorna_elozo_allapot+0, BitPos(_A_csatorna_elozo_allapot+0) GOTO L_interrupt35 BTFSS _A_csatorna_allapot+0, BitPos(_A_csatorna_allapot+0) GOTO L_interrupt35 L__interrupt76: ;bináris óra készdarab.c,184 :: if(B_csatorna_allapot == 0){ BTFSC _B_csatorna_allapot+0, BitPos(_B_csatorna_allapot+0) GOTO L_interrupt36 ;bináris óra készdarab.c,185 :: perc_egyes=perc_egyes-1; MOVLW 1 SUBWF _perc_egyes+0, 1 BTFSS STATUS+0, 0 DECF _perc_egyes+1, 1 ;bináris óra készdarab.c,186 :: }else{perc_egyes=perc_egyes+1;} GOTO L_interrupt37 L_interrupt36: INCF _perc_egyes+0, 1 BTFSC STATUS+0, 2 INCF _perc_egyes+1, 1 L_interrupt37: ;bináris óra készdarab.c,188 :: } L_interrupt35: ;bináris óra készdarab.c,190 :: if (A_csatorna_elozo_allapot == 1 && A_csatorna_allapot == 0 ) { BTFSS _A_csatorna_elozo_allapot+0, BitPos(_A_csatorna_elozo_allapot+0) GOTO L_interrupt40 BTFSC _A_csatorna_allapot+0, BitPos(_A_csatorna_allapot+0) GOTO L_interrupt40 L__interrupt75: ;bináris óra készdarab.c,191 :: if(B_csatorna_allapot == 1){ BTFSS _B_csatorna_allapot+0, BitPos(_B_csatorna_allapot+0) GOTO L_interrupt41 ;bináris óra készdarab.c,192 :: perc_egyes=perc_egyes-1; MOVLW 1 SUBWF _perc_egyes+0, 1 BTFSS STATUS+0, 0 DECF _perc_egyes+1, 1 ;bináris óra készdarab.c,193 :: }else{perc_egyes=perc_egyes+1;} GOTO L_interrupt42 L_interrupt41: INCF _perc_egyes+0, 1 BTFSC STATUS+0, 2 INCF _perc_egyes+1, 1 L_interrupt42: ;bináris óra készdarab.c,195 :: } L_interrupt40: ;bináris óra készdarab.c,197 :: A_csatorna_elozo_allapot = A_csatorna_allapot; BTFSC _A_csatorna_allapot+0, BitPos(_A_csatorna_allapot+0) GOTO L__interrupt112 BCF _A_csatorna_elozo_allapot+0, BitPos(_A_csatorna_elozo_allapot+0) GOTO L__interrupt113 L__interrupt112: BSF _A_csatorna_elozo_allapot+0, BitPos(_A_csatorna_elozo_allapot+0) L__interrupt113: ;bináris óra készdarab.c,198 :: } L_interrupt32: ;bináris óra készdarab.c,200 :: masodperc_perc_ora_valtasok (); CALL _masodperc_perc_ora_valtasok+0 ;bináris óra készdarab.c,202 :: INTCON.RABIF=0; BCF INTCON+0, 0 ;bináris óra készdarab.c,204 :: } L_interrupt31: ;bináris óra készdarab.c,206 :: if (INTCON.INTF==1 && !allitas_uzemmod){ BTFSS INTCON+0, 1 GOTO L_interrupt45 BTFSC _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_interrupt45 L__interrupt74: ;bináris óra készdarab.c,207 :: allitas_uzemmod = 1, del_beallitas=1; BSF _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) BSF _del_beallitas+0, BitPos(_del_beallitas+0) ;bináris óra készdarab.c,208 :: INTCON.INTF = 0; BCF INTCON+0, 1 ;bináris óra készdarab.c,209 :: kijelzo_torlese(); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,210 :: while(rotary_gomb); L_interrupt46: BTFSS RA2_bit+0, 2 GOTO L_interrupt47 GOTO L_interrupt46 L_interrupt47: ;bináris óra készdarab.c,212 :: }else INTCON.INTF = 0; GOTO L_interrupt48 L_interrupt45: BCF INTCON+0, 1 L_interrupt48: ;bináris óra készdarab.c,214 :: } L__interrupt107: MOVF ___savePCLATH+0, 0 MOVWF PCLATH+0 SWAPF ___saveSTATUS+0, 0 MOVWF STATUS+0 SWAPF R15+0, 1 SWAPF R15+0, 0 RETFIE ; end of _interrupt _Display_Time: ;bináris óra készdarab.c,217 :: void Display_Time() { ;bináris óra készdarab.c,221 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,222 :: oszlop1=1; BSF RC7_bit+0, 7 ;bináris óra készdarab.c,223 :: sorinfo=ora_tizes; MOVF _ora_tizes+0, 0 MOVWF _sorinfo+0 MOVF _ora_tizes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,224 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,225 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time49: DECFSZ R13+0, 1 GOTO L_Display_Time49 DECFSZ R12+0, 1 GOTO L_Display_Time49 NOP NOP ;bináris óra készdarab.c,227 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,228 :: oszlop2=1; BSF RB7_bit+0, 7 ;bináris óra készdarab.c,229 :: sorinfo=ora_egyes; MOVF _ora_egyes+0, 0 MOVWF _sorinfo+0 MOVF _ora_egyes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,230 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,231 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time50: DECFSZ R13+0, 1 GOTO L_Display_Time50 DECFSZ R12+0, 1 GOTO L_Display_Time50 NOP NOP ;bináris óra készdarab.c,235 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,236 :: oszlop3=1; BSF RC5_bit+0, 5 ;bináris óra készdarab.c,237 :: sorinfo=perc_tizes; MOVF _perc_tizes+0, 0 MOVWF _sorinfo+0 MOVF _perc_tizes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,238 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,239 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time51: DECFSZ R13+0, 1 GOTO L_Display_Time51 DECFSZ R12+0, 1 GOTO L_Display_Time51 NOP NOP ;bináris óra készdarab.c,241 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,242 :: oszlop4=1; BSF RC4_bit+0, 4 ;bináris óra készdarab.c,243 :: sorinfo=perc_egyes; MOVF _perc_egyes+0, 0 MOVWF _sorinfo+0 MOVF _perc_egyes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,244 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,245 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time52: DECFSZ R13+0, 1 GOTO L_Display_Time52 DECFSZ R12+0, 1 GOTO L_Display_Time52 NOP NOP ;bináris óra készdarab.c,249 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,250 :: oszlop5=1; BSF RC3_bit+0, 3 ;bináris óra készdarab.c,251 :: sorinfo=masodperc_tizes; MOVF _masodperc_tizes+0, 0 MOVWF _sorinfo+0 MOVF _masodperc_tizes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,252 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,253 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time53: DECFSZ R13+0, 1 GOTO L_Display_Time53 DECFSZ R12+0, 1 GOTO L_Display_Time53 NOP NOP ;bináris óra készdarab.c,256 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,257 :: oszlop6=1; BSF RC6_bit+0, 6 ;bináris óra készdarab.c,258 :: sorinfo=masodperc_egyes; MOVF _masodperc_egyes+0, 0 MOVWF _sorinfo+0 MOVF _masodperc_egyes+1, 0 MOVWF _sorinfo+1 ;bináris óra készdarab.c,259 :: sorinformacio_kikuldese (); CALL _sorinformacio_kikuldese+0 ;bináris óra készdarab.c,260 :: delay_us(1000); MOVLW 3 MOVWF R12+0 MOVLW 151 MOVWF R13+0 L_Display_Time54: DECFSZ R13+0, 1 GOTO L_Display_Time54 DECFSZ R12+0, 1 GOTO L_Display_Time54 NOP NOP ;bináris óra készdarab.c,261 :: kijelzo_torlese (); //különben kint marad a jel, és fényesebben fog világítani az oszlop CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,262 :: } RETURN ; end of _Display_Time _Init_Main: ;bináris óra készdarab.c,264 :: void Init_Main(){ ;bináris óra készdarab.c,265 :: ANSEL = 0; // Analóg csatornák tiltása CLRF ANSEL+0 ;bináris óra készdarab.c,266 :: ANSELH = 0; CLRF ANSELH+0 ;bináris óra készdarab.c,267 :: C1ON_bit = 0; // Komparátorok tiltása BCF C1ON_bit+0, 7 ;bináris óra készdarab.c,268 :: C2ON_bit = 0; BCF C2ON_bit+0, 7 ;bináris óra készdarab.c,270 :: TRISA=0b00110100; //2: rotary gomb; 4: rotary A_csatorna_allapot; 5: rotary B_csatorna_allapot; MOVLW 52 MOVWF TRISA+0 ;bináris óra készdarab.c,271 :: PORTA=0x00; CLRF PORTA+0 ;bináris óra készdarab.c,273 :: TRISB=0b00000000; CLRF TRISB+0 ;bináris óra készdarab.c,274 :: PORTB=0x00; CLRF PORTB+0 ;bináris óra készdarab.c,276 :: TRISC=0b00000000; CLRF TRISC+0 ;bináris óra készdarab.c,277 :: PORTC=0x00; CLRF PORTC+0 ;bináris óra készdarab.c,280 :: OPTION_REG=0b00000110; //RABPU; INTEDG; T0CS; T0SE; PSA; PS<2:0>; MOVLW 6 MOVWF OPTION_REG+0 ;bináris óra készdarab.c,281 :: INTCON=0b11011000; //GIE; PEIE; T0IE; INTE; RABIE; T0IF; INTF; RABIF; MOVLW 216 MOVWF INTCON+0 ;bináris óra készdarab.c,283 :: IOCA=0b00110100; // unimplemented; unimplemented; IOCA5; IOCA4; IOCA3; IOCA2; IOCA1; IOCA0; MOVLW 52 MOVWF IOCA+0 ;bináris óra készdarab.c,284 :: IOCB=0b00100000; // IOCB7; IOCB6; IOCB5; IOCB4; —; —; —; —; MOVLW 32 MOVWF IOCB+0 ;bináris óra készdarab.c,285 :: OSCCON = 0b01110000; // —; IRCF2; IRCF1; IRCF0; OSTS(1); HTS; LTS; SCS; 8MHz MOVLW 112 MOVWF OSCCON+0 ;bináris óra készdarab.c,287 :: SSPCON=0b00110110; //WCOL; SSPOV; SSPEN; CKP; SSPM3(2); SSPM2(2); SSPM1(2); SSPM0(2); (0110 = I2C Slave mode, 7-bit address); MOVLW 54 MOVWF SSPCON+0 ;bináris óra készdarab.c,289 :: allitas_uzemmod=0; BCF _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) ;bináris óra készdarab.c,290 :: allitas_uzemmod_idozito=0; CLRF _allitas_uzemmod_idozito+0 CLRF _allitas_uzemmod_idozito+1 ;bináris óra készdarab.c,291 :: del_beallitas=0; BCF _del_beallitas+0, BitPos(_del_beallitas+0) ;bináris óra készdarab.c,292 :: allitas_uzemmodbol_kilepes_szamlalo=0; CLRF _allitas_uzemmodbol_kilepes_szamlalo+0 CLRF _allitas_uzemmodbol_kilepes_szamlalo+1 ;bináris óra készdarab.c,294 :: Soft_I2C_Init(); // Initialize Soft I2C communication CALL _Soft_I2C_Init+0 ;bináris óra készdarab.c,296 :: } RETURN ; end of _Init_Main _main: ;bináris óra készdarab.c,298 :: void main() ;bináris óra készdarab.c,301 :: Init_Main(); // perform initialization CALL _Init_Main+0 ;bináris óra készdarab.c,302 :: start1307s(); CALL _start1307s+0 ;bináris óra készdarab.c,305 :: do{ L_main55: ;bináris óra készdarab.c,318 :: if(allitas_uzemmod==1){ BTFSS _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_main58 ;bináris óra készdarab.c,320 :: if(del_beallitas){ //12:00 kiírása BTFSS _del_beallitas+0, BitPos(_del_beallitas+0) GOTO L_main59 ;bináris óra készdarab.c,321 :: masodperc_egyes=0, masodperc_tizes=0; CLRF _masodperc_egyes+0 CLRF _masodperc_egyes+1 CLRF _masodperc_tizes+0 CLRF _masodperc_tizes+1 ;bináris óra készdarab.c,322 :: perc_egyes=0, perc_tizes=0; CLRF _perc_egyes+0 CLRF _perc_egyes+1 CLRF _perc_tizes+0 CLRF _perc_tizes+1 ;bináris óra készdarab.c,323 :: ora_egyes=2, ora_tizes=1; MOVLW 2 MOVWF _ora_egyes+0 MOVLW 0 MOVWF _ora_egyes+1 MOVLW 1 MOVWF _ora_tizes+0 MOVLW 0 MOVWF _ora_tizes+1 ;bináris óra készdarab.c,324 :: del_beallitas=0; BCF _del_beallitas+0, BitPos(_del_beallitas+0) ;bináris óra készdarab.c,325 :: } L_main59: ;bináris óra készdarab.c,327 :: if(rotary_gomb==1){ BTFSS RA2_bit+0, 2 GOTO L_main60 ;bináris óra készdarab.c,328 :: allitas_uzemmodbol_kilepes_szamlalo++; INCF _allitas_uzemmodbol_kilepes_szamlalo+0, 1 BTFSC STATUS+0, 2 INCF _allitas_uzemmodbol_kilepes_szamlalo+1, 1 ;bináris óra készdarab.c,329 :: if(allitas_uzemmodbol_kilepes_szamlalo>=100){ MOVLW 128 XORWF _allitas_uzemmodbol_kilepes_szamlalo+1, 0 MOVWF R0+0 MOVLW 128 SUBWF R0+0, 0 BTFSS STATUS+0, 2 GOTO L__main114 MOVLW 100 SUBWF _allitas_uzemmodbol_kilepes_szamlalo+0, 0 L__main114: BTFSS STATUS+0, 0 GOTO L_main61 ;bináris óra készdarab.c,331 :: if(rotary_gomb==1){ BTFSS RA2_bit+0, 2 GOTO L_main62 ;bináris óra készdarab.c,332 :: kijelzo_torlese (); CALL _kijelzo_torlese+0 ;bináris óra készdarab.c,333 :: sor4=0, sor3=0, sor2=0, sor1=0, delay_ms(300); BCF RC2_bit+0, 2 BCF RC1_bit+0, 1 BCF RB5_bit+0, 5 BCF RC0_bit+0, 0 MOVLW 4 MOVWF R11+0 MOVLW 12 MOVWF R12+0 MOVLW 51 MOVWF R13+0 L_main63: DECFSZ R13+0, 1 GOTO L_main63 DECFSZ R12+0, 1 GOTO L_main63 DECFSZ R11+0, 1 GOTO L_main63 NOP NOP ;bináris óra készdarab.c,334 :: while(rotary_gomb); L_main64: BTFSS RA2_bit+0, 2 GOTO L_main65 GOTO L_main64 L_main65: ;bináris óra készdarab.c,336 :: Soft_I2C_Start(); // issue start signal CALL _Soft_I2C_Start+0 ;bináris óra készdarab.c,337 :: Soft_I2C_Write(0xD0); // address DS1307 MOVLW 208 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,338 :: Soft_I2C_Write(0); // start from word at address (REG0) CLRF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,339 :: Soft_I2C_Write(0x00); // másodperc regiszter write $80 to REG0. (pause counter + 0 sec)(REG0) CLRF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,340 :: Soft_I2C_Write(dec2bcd(perc_tizes*10 + perc_egyes)); // perc regiszter - write 0 to minutes word to (REG1) MOVF _perc_tizes+0, 0 MOVWF R0+0 MOVLW 10 MOVWF R4+0 CALL _Mul_8x8_U+0 MOVF _perc_egyes+0, 0 ADDWF R0+0, 0 MOVWF FARG_Dec2Bcd_decnum+0 CALL _Dec2Bcd+0 MOVF R0+0, 0 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,341 :: Soft_I2C_Write(dec2bcd(ora_tizes*10 + ora_egyes)); // óra regiszter - write 12 to hours word (24-hours mode)(REG2) bit6==1 : 24órás üzemmód MOVF _ora_tizes+0, 0 MOVWF R0+0 MOVLW 10 MOVWF R4+0 CALL _Mul_8x8_U+0 MOVF _ora_egyes+0, 0 ADDWF R0+0, 0 MOVWF FARG_Dec2Bcd_decnum+0 CALL _Dec2Bcd+0 MOVF R0+0, 0 MOVWF FARG_Soft_I2C_Write_data_+0 CALL _Soft_I2C_Write+0 ;bináris óra készdarab.c,342 :: Soft_I2C_Stop(); // issue stop signal CALL _Soft_I2C_Stop+0 ;bináris óra készdarab.c,344 :: allitas_uzemmod=0, allitas_uzemmodbol_kilepes_szamlalo=0; BCF _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) CLRF _allitas_uzemmodbol_kilepes_szamlalo+0 CLRF _allitas_uzemmodbol_kilepes_szamlalo+1 ;bináris óra készdarab.c,345 :: } L_main62: ;bináris óra készdarab.c,346 :: } L_main61: ;bináris óra készdarab.c,347 :: } L_main60: ;bináris óra készdarab.c,349 :: } L_main58: ;bináris óra készdarab.c,351 :: if(allitas_uzemmod)masodperc_perc_ora_valtasok (); //! ???????????????????? BTFSS _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_main66 CALL _masodperc_perc_ora_valtasok+0 L_main66: ;bináris óra készdarab.c,353 :: if(!allitas_uzemmod)Read_Time(); // Read time from RTC BTFSC _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_main67 CALL _Read_Time+0 L_main67: ;bináris óra készdarab.c,354 :: if(!allitas_uzemmod)Transform_Time(); // Format time BTFSC _allitas_uzemmod+0, BitPos(_allitas_uzemmod+0) GOTO L_main68 CALL _Transform_Time+0 L_main68: ;bináris óra készdarab.c,355 :: Display_Time(); CALL _Display_Time+0 ;bináris óra készdarab.c,357 :: }while(1); //Loop forever GOTO L_main55 ;bináris óra készdarab.c,359 :: } GOTO $+0 ; end of _main