Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   45 / 49
(#) pajti2 válasza killbill hozzászólására (») Jún 4, 2017 /
 
Aki a nulláról kezdi, annak kell valami szemléleti alap, mi szerint tanul vagy ért meg bármit is. Még boardot venni sem kell, ha valaki a hdl-eket megérteni akarja, viszont akkor betonstabil gyakorlati háttér kell, hogy valaki találkozott már másutt állapotgépekkel sok szinten egymásra szervezve a digitális jelektől a felhasználói élményig felépítve. Ha van olyan, inkább webblogokat javasolnék további tanulásra. Ha nincs - mert nincs, ha a hardverből indulna ki valaki - akkor pedig olyan board kell kezdésnek, amit használni is szeretne valamire. Csak azért venni meg valamit, mert olcsó, semmi több, mint pénzkidobás. Az "olcsó" egy oximoron. Olyasmit kell venni, ami jó is lesz valamire, és vélhetőleg további szerelési cuccokat is igényelni fog. Mire beszerez mindent, simán nem fog megállni a számla olyan alacsonyan, mint amit a fentebbi matek mutat. Viszont az lesz az az út, ahogyan tényleg tanulni is lehet. Szerintem.
(#) icserny válasza FDAssasin hozzászólására (») Jún 4, 2017 /
 
Ez az EPM240 kártya szerintem megfelel a kezdéshez. Tanuláshoz találták ki, tehát a világot nem lehet vele megváltani.

A másik, ami jó lehet a tanuláshoz: Cypress CY8CKIT-059 Ez egy ARM Cortex-M3 mikrovezérlő, ami CPLD képességekkel is el van látva. A kártya programozó/debuggert is tartalmaz. A PSOC Creator elég kellemes környezet, és sok mintapélda, illetve leírás található hozzá (nagolul, természetesen).
(#) izenahogyishivnak hozzászólása Jún 6, 2017 /
 
Olyan van a Xilinx ISE-ben, hogy megírok egy (egyszerű) VHDL kódot és átkonvertálja Schematic formába?
(#) pajti2 válasza izenahogyishivnak hozzászólására (») Jún 7, 2017 /
 
Vannak mindenféle tutorialok. Ilyesmire gondoltál?
(#) izenahogyishivnak válasza pajti2 hozzászólására (») Jún 8, 2017 /
 
Tanulom a VHDL-t és néhány dolog nem volt világos, ezért gondoltam, hogy ha látnám a kódnak megfelelő áramkört, könnyebb lenne megérteni.
(#) pajti2 válasza izenahogyishivnak hozzászólására (») Jún 8, 2017 /
 
Az egy jó dolog, ha van erős digitális elektronikai háttered, de egy idő múlva korlátozni is fog, ha folyton azzal a szemmel akarsz nézni mindent.

A tényleges áramkör természetesen létező digitális elektronika formájában működik, viszont alkalmasint annyira bonyolult logikai hálózatot tudnak legyártani az állapotgépek megvalósításai az optimalizálások végett, hogy kajak belezavarodsz, ha elektronikai szinten folyton meg akarod érteni a változtatások következményeit minden egyes lépés után. Nem ritkán úgy dönt az optimalizáció, hogy éppen elértél egy korlátot, és jellegében is átépíti a teljes áramkört. És akkor csak pislogni fogsz, hogy mi a fenét írtál el annyira. Nem írtál el semmit, az implementáció az olyan dolog, hogy temérdek sok rétegen valósul meg, ami el van rejtve a szemed elől, hogy miért. A nagyon kicsi áramkörök esetén még működni fog a lépésenkénti megértés, de el kell majd tudnod szakadni tőle.

Ha a vhdl tutorialokkal állsz egy kicsit hadilábon, és valami bonyolultabb szerkezet végeredményét akarod kipróbálni, jobb lenne kezdésnek valami olyan fejlesztői panel, amin van egy halom led, meg nyomógomb, és letöltés után megnézni a saját szemeddel, mi történik. Sokkal inkább fun, mint a halom sok absztrakció a képernyőn, amire még azt is ráfognám, hogy energiavámpír. Majd később jó lesz az is valamire, de kezdetben jobb az, ami kézzelfogható.
(#) v_zsolt válasza izenahogyishivnak hozzászólására (») Jún 8, 2017 /
 
Azzal hogy VHDL-ből schematik-ot "konvertálsz" még nem vagy beljebb. Nem tudom mi a célod vele.
A fordított irány szokott menni, azaz schematic -> HDL (VHDL, Verilog) akkor ha valaki erősebb digitális relektronikai háttérrel rendelkezik, és kevésbé szereti használni a HDL nyelveket. Az ISE-ben RTL, illetve technológiai schematic-ot lehet generálni, és kb. ennyi.

Ez utóbbira tervezési irányra egy jó könyv a Digilent ebook-ja (a nem teljes változat, kb első 100 oldal ingyen letölthető innen, akár VHDL, akár Verilog nyelvre). lásd innen:

https://reference.digilentinc.com/learn/programmable-logic/courses/...rect=1

Annyi csak, hogy az Aldec Active HDL terméke helyett a fenti könyvben szereplő példákat az ISE beépített schematic editorában lehet összeállítani.

üdv
Zsolt
(#) gtk válasza izenahogyishivnak hozzászólására (») Jún 9, 2017 /
 
Ez igaz legfeljebb nehany sor kod eseten
(#) FDAssasin hozzászólása Jún 30, 2017 /
 
Sziasztok!
Vettem egy Cyclone II fpga-t (EP2C5T144 van a chipen). Van egy Altera USB Blasterem is. Most már csak az a gondom, hogy hogyan kezdjek neki... gondolom a legegyszerűbb ha ledeket villogtatok, de fejlesztőkörnyezetet sem találok hozzá. Magyar nyelvű oktatóanyag van ehhez?
Illetve az sem egészen világos hogy most az AS vagy a JTAG portot használjam a programozóval. Valamelyik csak ramba ír, a másik pedig teljesen átállítja a chipet, hogy kikapcsolás után is megmaradjanak a beírt funkciók. (vagy tévedek?)
Köszi előre is a válaszokat!
(#) pajti2 válasza FDAssasin hozzászólására (») Jún 30, 2017 /
 
A cyclon 2 család bemutatását itt találod: Bővebben: Link

És vannak további szakirodalmak minden konkrét eszközről a gyártó oldalain. Egy fpga teljes környezete 8 ezer oldalnál több anyag.

A műszaki angolnak legalább szöveg értés szintjén muszáj mennie, anélkül moccanni sem fogsz tudni. Magyar szakirodalom a témában jellemzően nincs.

Milyen apropóból vetted a boardot? Egyetemi tananyag?
(#) vargham válasza pajti2 hozzászólására (») Jún 30, 2017 /
 
Free Tool: Quartus II Web Edition. A Cyclone II az régi cucc, a 13.0 sp1 az utolsó verzió, ami támogatja.
Innen lehet letölteni.

Ezt kell letöltetni:
Quartus II Software (includes Nios II EDS)
Meg ezt is:
Cyclone II, Cyclone III, Cyclone IV device support (includes all variations)
(#) ndavid87 válasza FDAssasin hozzászólására (») Jún 30, 2017 /
 
Ha az angol megy valamennyire, akkor ajánlom ezt a sorozatot: Bővebben: Link
Igaz, hogy CPLD-t használnak, de működni fog FPGA-n is.
Ilyened van?
A hozzászólás módosítva: Jún 30, 2017
(#) FDAssasin válasza pajti2 hozzászólására (») Jún 30, 2017 /
 
pajti2: Műszaki angol jól megy, nem hiszem hogy túl nagy gondjaim lesznek vele, csak magyarul mégis jobb, gondoltam egy próbát megér
Egyetemre fog majd kelleni, illetve párszor le lettem hülyézve az AVR használata miatt, mert az ,,lassú". Szóval gondoltam belevágok ebbe is, úgyis érdekel.

vargham: köszi szépen, ezt megtaláltam, csak fogalmam nem volt hogy mit kellett volna letölteni

ndavid87: Igen, pont ugyanilyenem van

Szóval AS vagy JTAG portot kéne használni? Először nem gáz ha kikapcsolásnál elszáll a kód, kíméljük az IC-t
(#) icserny válasza FDAssasin hozzászólására (») Jún 30, 2017 /
 
Magyarul hirtelen ezeket találtam a neten:
BS_VHDL_Jegyzet.pdf
másik VHDL jegyzet
(#) ndavid87 válasza FDAssasin hozzászólására (») Jún 30, 2017 /
 
A JTAG portot használd. Amit linkeltem, ott a videókban bemutatják a felprogramozást is.
Arra figyelj, hogy a 26,27,80,81-es számú lábak le vannak kötve gnd-re, és Vcc-re 0 Ohm-os ellenállással. Ezeket el kell távolítani, ha használni akarod őket. A nyomógomb minőségére panaszkodtak páran.
Könyv estleg: VHDL-alapú rendszertervezés (Hosszú Gábor, Keresztes Péter)
(#) pajti2 válasza FDAssasin hozzászólására (») Jún 30, 2017 /
 
Van, amire tényleg lassú, de az nagyon feladata válogatja - mire kell a sebesség? Btw, a mikrovezérlő lassú, az áramköri blokk meg unintelligens

JTAG, néhol a csomagban adják ahhoz a boardhoz az usb blastert is. Azt nem vetted meg vele együtt?

A flash annyi újraírást kibír, hogy nem várható a tönkremenetele. Előbb fogod véletlenül elégetni azt a boardot
(#) FDAssasin válasza pajti2 hozzászólására (») Jún 30, 2017 /
 
Hát az elektrotechnika tanárom mondta hogy komolytalan szerinte, úgy ahogy a Raspberry Pi is. Számomra teljesen idegen az FPGA és a CPLD, szóval nem igazán tudtam hozzászólni, én jól elvoltam a mikrovezérlővel, egyszerű volt számomra...

Nem vele együtt vettem, mert olcsóbb volt külön. (Tudom, wtf, én is meglepődtem).

Nem igazán a sebesség miatt, inkább hardver szimulálásra kellene. Nagyon érdekel a számítógépek felépítése, de tranzisztor szinten. Szóval gondoltam hülyeség 500 féle alkatrészt venni, megveszem egy tokban aztán hajrá. Gyors is lesz (viszonylag), kevesebbet kell forrasztani, nem kell akkora próbapanel mint egy IFA.
(#) pajti2 válasza FDAssasin hozzászólására (») Júl 1, 2017 /
 
Kicsi kitérő komolysági kérdésekről

A raspberry sosem arra volt szánva, mint amit a nagy közönség lát belőle. Az egy technológiai teszt volt, hogy a processzor magot egybeépítsék a külső memóriával, és univerzális processzor helyettesítőt gyártsanak. _Az_ a terv egyébként sikerült is. Például itt egy blog róla: Bővebben: Link. Amit a világ raspberry-ként ismer, az sosem volt több, mint egy teszter community építése. Ingyen végeztették el a nagy skálás mikrovezérlő teszter munkát a néppel. Szerintem zseniális húzás volt. Magáról a magról máig nincsen normális dokumentáció publikusan, és nem stabil a piaci kínálata sem, talán azért mondta rá a tanárod is, hogy akadnak vele komolysági problémák, ha használni akarod. De attól még van mögötte egy egészen másik játék, amit milliárd dollárokra játszottak, és az kicsit sem volt komolytalan. Sajnos abban a játékba a hobbistákat mindössze kihasználták. Ha a tanárod azok közé tartozik, akik kicsit haragszanak érte, meg tudom érteni.

Ha kapcsrajz szintjén építenél számítógép részegységeket, az fpga-k remek jó eszközök lesznek számodra, ameddig csak bírja a pénztárcád. Eredetileg ugyanis katonai célokra lettek kitalálva, és bár igaz, hogy célirányos feladatokra nagyon nagy sebességet is nyújtani tudnak, de költségvetésre is olyan kell hozzájuk, ami inkább csak katonai célokra elérhető. A civil kereskedelmi forgalomban található virtex-7-es családról itt egy octopart lista: Bővebben: Link. 700k huf fölött kezdődik, de a 4. lapon már 2 milliós is akad. És az még csak egyetlen mag, amibe ha nekiállnál mind belegyömöszölni egy mai pár magos cortex-a kategóriás soc-ot, amit az androidokban találsz, és keleti felebarátaink pár1000 forintért kínálják, szerintem elfogyna rá a 2 milcsis fpga. Az fpga valódi előnye inkább csak annyi, hogy tetszés szerint átszabhatod a teljes működését, de az az overhead el is viszi az egész magot.

Ami magot kinéztél a boardodon, abban például mennyiségre annyi logikai erőforrás van, mint egy gyengébb avr-ben / pic-ben. Illetve kicsit kevesebb Ha nekiállsz belőle processzor magot meg perifériákat farigcsálni, rá fogsz jönni magad is, hogy a méret a lényeg, és fpga-ban ha elég nagyot választasz, pillanatok alatt látsz majd olyat az árlistákon, hogy az fpga-k világában is akadnak éppen komolysági problémák. Szóval ha a tanárod kicsit felindulásból mondott véleményt az avr-ekről is a raspberry sztorija miatt, legalább legyél képben róla, hogy ami véleményt kaptál, az nem minden tekintetben volt objektív szakmailag.

Mindezt azért írtam le külön, hogy ne besértődés legyen a vége. Az fpga-k remek jó eszközök például mikroelektronikai fejlesztésekhez is (fpga-asic conversion), és ha valamivel a részletekbe akarsz belemászni, hát a legjobb helyre kerültél az fpga-kkal. Bőven van azokkal elérhető cél nagyon pénzes játékokhoz is. De ahhoz ésszel kellene őket használni, és ne akarj se mindent megkapni, se lenézni a mikrovezérlőket, amik legalább ugyanakkora technikai bravúr termékei. Se elhinni ne akarj holmi lenéző véleményeket bármit illetően még akkor sem, ha a tanáraid egyikétől érkezett.
(#) FDAssasin válasza pajti2 hozzászólására (») Júl 1, 2017 /
 
Engem nem tört le amit mondott. Csak kíváncsivá tett, hogy ugyan miért is annyira jó egy FPGA
Amúgy nem tervezem az AVR határait túllépni ezzel az FPGA lappal. Eszembe nem jutott volna hogy a közelébe ér teljesítményben egy fapados 8 bites CPU ami tud számolni és memóriát írni-olvasni és lehet programozni, már bőven jó nekem. Ha van egy-két vezérelhető I/O portja, akkor pedig túlment az elképzeléseimen. Az ötlet maga ökörségnek tűnhet, ha kínából úgyis utánam dobnak mindent 1-2 ezer forintért, de nekem nem a kész termék kell, megérteni akarom hogy milyen alapokra épül egy számítógép, mert kissé homályos még ez a terület számomra... ez a cucc talán 4 ezer forint volt össz, szóval bőven megérte, ha megsütöm, nagyot nem buktam.


Btw, ha valamit elszúrok a programozásban, akkor lehet baja az IC-nek? Vagy csak nem fog működni amit elképzeltem?
A hozzászólás módosítva: Júl 1, 2017
(#) killbill válasza FDAssasin hozzászólására (») Júl 1, 2017 /
 
Egy FPGA-t nem lehet osszehasonlitani egy CPU-val. A ketto egeszen mas. Ha megnezed ezt a ketyeret, akkor lathatod, hogy mindennek megvan a maga szerepe. Ebben van ket FPGA es egy AVR. Az AVR nem igen tudna mit kezdeni 60 megabyte/s adattal, amit mellesleg fel is kell olvasni egy ATA disk-rol. Meg kell jeleniteni TV-n, kommunikalni kell a kameraval, vezerelni kell a mechanikat. Felvetelkor a kamerabol jon az adat, azt irni kell a diskre es megjeleniteni. Ennek vonzata 64 megabyte SDRAM is. Ehhez hardver kell, nem processzor. Ezert az FPGA. A masik FPGA a CCD-t vezerli. Viszont a kezeloi feluletet sokkal kezenfekvobb megcsinalni egy processzorral, amire sw-t irsz. A tarolos szkop egy masik nagyon jo pelda. 100 megasample/sec ket csatornan szimultan. Csatornankent 1 megabyte memoria. Nem kifejezettem mikrokontrollernek valo feladat. Viszont menuket csinalni egy LCD-re az FPGA nem alkalmas. Ja, es ezek parezer forintos FPGA-k.
A hozzászólás módosítva: Júl 1, 2017
(#) gtk válasza killbill hozzászólására (») Júl 30, 2017 /
 
Kivancsi lennek a digitalizalas minosegere, nincs feltoltve valahova video ? Szuper munka.
(#) killbill válasza gtk hozzászólására (») Aug 6, 2017 /
 
A minoseg egesz jo, de nyilvan lehetne jobb is. Nincs feltoltve semmi, mert ezt a csaladi filmek digitalizalasara csinaltam, masra nem is hasznaltam.
(#) Ktulu hozzászólása Szept 12, 2017 /
 
Sziasztok.
Egy MAXII EPM570-et próbálok beolvasni a QuartusII programozójával. A kapott .pof fájl szinte mind 0-kat tartalmaz. Csatoltam a mentést.
A security bit nincs kipipálva, és usercode is mind FF.
Próbáltam a Quartus több verziójával, de ugyanazt kapom.
Ez ilyenkor mit jelent?
A hozzászólás módosítva: Szept 12, 2017

untitled1.pof
    
(#) Ktulu válasza Ktulu hozzászólására (») Szept 17, 2017 /
 
Esetleg valakinek van ötlete?
(#) gtk hozzászólása Jan 27, 2018 /
 
Sziasztok !

Valaki szorakozott mar a Cyclone V ARM procijaval ? Tapasztalatok ? Udv.
(#) v_zsolt válasza gtk hozzászólására (») Jan 29, 2018 /
 
Kedves gtk,

Nem tudom milyen szinten vagy az SoC felépítésű architektúrákkal. Elég komplex dologról van szó. Nemcsak az FPGA logikát, hanem a dedikált HPS-ARM rendszert is ismerni kell valamelyest.

Altera (vagy ma már inkább Intel) Cyclone V SoC nem egy kis jószág.
Én kb a megjelenése óta közel 4 éve használom.

Először az Altera Cyclone V SoC Handbook (vol 1-2-3) rövid áttanulmányozását (mivel közel 4ezer oldalas), különös tekintettel a HPS - Hard Processor System ajánlom.
Mellette ARM Cortex A9-es magoknak az irodalmát is érdemes elolvasni.
ARM magokat több szinten is meg lehet szólaltani: tisztán ún. bare-metal szinten (OS használata nélkül lehet hivni akár az FPGA, akár az HPS-ARM oldali driver függvényeket - van szép számmal), illetve a Linux OS szinten (kernel-user space) ,de ez már egy másik varázslat embedded OS területen.

Kereskedelmi célű kártyák közül én az Altera Cyclone V SoC devkit-te, TErasic SocKIT-t, illetve picit a EBV Socrates Cyc V SoC káryáit használtam. Árban a Terasic-es kártya nagyon jónak mondható. Jó még a Terasic DE1 board is a maga 250 dollarjaval.

Tanulashoz leginkább a következő open society oldalt ajánlom mindenképpen:
https://rocketboards.org/
Boards menüpont alatt sok kártya támogatását meg lehet találni. Valamint vannak támogató csomagok, és a legjobb tutorialok is itt érhetőek el.

Az ARM mag támogatásához nem elég a Quartust telepiteni, az ARM DS5 (vagy EDS-SOC néven) Eclipse alapú környezetét is telepíteni kell. Abban van sok példaprogram a telepítési könyvtárban amiket érdemes kipróbálni.

Remélem hogy segítettem.

üdv

Zsolt
(#) gtk válasza v_zsolt hozzászólására (») Jan 31, 2018 /
 
Szia !
Koszi, ez egy tartalmas valasz volt !
A cyclone V board sajat fejlesztesu lesz, kimondottan DSP celra.

Egy DDR RAM meg egy Flash tamogatasanak megvalositasa szoftveresen, pl Linuxszal mennyi ido alatt valosithato meg kb ? Vagy induljunk ki pl egy LED blinkbol, mennyi ido alatt lehet osszeallitani egy ilyen HW / SW kornyezetet az adott FPGAra ?

Termeszetesen utanna fogok majd olvasni alaposan, csak elozetes kivancsisagbol kerdezek.

Udv.
(#) pajti2 válasza gtk hozzászólására (») Jan 31, 2018 /
 
Ha nulláról kezdenéd, de legalább erős elektronikai és szoftvertechnikai alapjaid megvannak, akkor 2-3 hónap casual, míg hozzászoksz kicsit, micsoda az fpga, mit érdemes tőle elvárni,
és mit nem. Olvasgatni kell az adatlapokat, és megnézni az árlistákat is (!).

Az egybeintegrált dolgokat én a magam részéről sosem birizgáltam, mert amiket a mai világban fejlesztenek, rendesen vannak elkú**a (nem kicsit, hanem nagyon), és különben sincs rájuk túl nagy szükség. Pláne nem olyan áron, amennyiért árulják.

Az fpga-ra azt érdemes rárakni, aminek valós idejű sebesség kell, és figyelnie kell azokra a külső folyamatokra, amik nem fognak várni rá, hogy most a linux board válaszolni akar-e, vagy sem. Ráraksz memóriából is annyit az fpga-ra, hogy kimeneti / bemeneti adatok elférjenek. Logikai szintű műveletet inkább ne tervezz rá. Lehet ugyan olyat is ráépíteni, de nem hatékony. Elég a kötelezően valós idejű forgalmat rendezni vele a lehető legalacsonyabb szintű logikával, és úgy hamarabb fog hibamentesen működni. Aztán rárakni valami adatcsatornát, hogy adatokat tudj mozgatni a buffer és a külső rendszer között. Általános tipp: egy usb bulk endpoint a legegyszerűbb. Utána linux boardon / akármin már feldolgozhatod logikai szinten is az adatokat, mert azt egyszerűbb valamilyen magasabb szintű programnyelven. Ilyen flash kártya kezelés meg hasonlók például felesleges fpga-ra rakni. Jobb helye van annak valamilyen OS gondoskodó kezei között, hogy kényelmesebben tudj vele file rendszereket kezelni.

Mindazokat csak akkor akard integrált cuccal csinálni, ha piacra vinnél valami terméket, és olyan mechanikai követelmények is vannak, amit nem tudsz máshogy megoldani. De általában nincsenek olyan követelmények, amik olyan áron is akarják a miniatürizálást, hogy a hőelvezetési gyengeségek miatt havonta menjen tönkre valami, meg 10x annyiba kerüljön, mint egyébként, csak mert tele szórta valami reklám a szemedet tündérporral hogy ez + az a cucc mennyire jó. Legalább amíg a tündérport ki nem törölted a szemedből, addig még ne akarj kőbe vésni semmit.
(#) gtk válasza pajti2 hozzászólására (») Jan 31, 2018 /
 
Mint olvashattad DSP-nek kellene. Tehat biztosan nem 0-rol indulok. Tudom mire jo az FPGA, foglalkoztam is vele. Engem konkretan a cyclone V es a benne levo ARM maggal kapcsolatos infok, velemenyek, tapasztalatok erdekelnek.
Azert az nagyon nem mindegy hogy egy BGA tokban van egy DSP akarmi, vagy kulon van FPGA + proci tokozas is + RAM + FLASH, stb. Paneltervezes szempontjabol is bonyolultabb az utobbi. Nem tudom a cyclone V ARMjara mennyire konnyen lehet fejleszteni, hogy milyen korulmenyei vannak ennek...

Azert annyira rossz nem lehet, ha az Intel is megvette...
A hozzászólás módosítva: Jan 31, 2018
(#) devilke3000 hozzászólása Feb 6, 2018 /
 
Sziasztok!
Kérdésem lenne hogy egy FPGA filmware ki nyerhetö lehetne e számomra fontos infra kodok?
Sajnos az adot FPGA vezérlöhöz drága a távirányitó!
FPGA program
Következő: »»   45 / 49
Bejelentkezés

Belépés

Hirdetés
Lapoda.hu     XDT.hu     HEStore.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem