Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   3 / 49
(#) eltexto válasza gtk hozzászólására (») Dec 31, 2006 /
 
Hát igen, ezen törtem egész este a fejemet. Ez kb. fél éve volt amikor a MiniLA-t csináltam. Általában WIN98-at használok (megszoktam), ez a C: meghajtón van, de
van amikor csak XP-n futnak a dolgok, ez a D: meghajtón van, egymás mellé telepitve a két rendszer.
A WP4.2 WIN98 alatt progizott jól. Vettem egy CPLD-kitet és a mellé adott WP7.1 csinálta ezt a cirkuszt XP-alatt. Ahogy megtaláltam az utalást a környezeti változó beállitására, fogtam es beirtam a C: meghajtón levő autoexec.bat-ba az emlitett SET parancsot. Utánna rögtön müködött. Megnéztem most is ott van. Az XP alatt sehogysem találtam rá utalást. Már teljesen bizonytalan voltam, hogy nem e 98 alatt volt a dolog, ezért átnéztem a leveleimet, mert irtam listára is a problémával kapcsolatban, A XP és WP7.1-el volt a probléma, de a SET csak az autoexec.bat-ban van. Szóval én sem értem pontosan. Lehet hogy az XP látja, hogy multiboot van és az autoexec cuccait is nézi? Próbálj meg létrehozni egy autoexec.bat-ot a boot lemez root könyvtárában és csak ez a SET sor legyen benne. Bár ha XP alatt command promtnál kiadom a SET-parancsot, nem müködik. Szóval nem biztos, hogy így jó lesz. Lehet,hogy valahol a registry-ben kéne valahogy tudatni vel, de hogy hogyan, azt nem tudom.

Üdv. Zoli
(#) gtk válasza eltexto hozzászólására (») Dec 31, 2006 /
 
Megegyszer koszi! Megyek es kiprobalom,probalkozok!
Azt nem ertem hogy erre hogy nem gondoltak?Igazan berakhattak volna egy menupontba ezt a cimbeallitas lehetoseget,..

Udv!
(#) dpeti válasza eltexto hozzászólására (») Dec 31, 2006 /
 
XP-ben védelmi okokból kivették ezeket az automatikus inditasi lehetosegeket... (remlik meg olyan, hogy SET-et lehet bele tenni, de a tobbit (pl futtathato progikat) figyelmen kivul hagyja, ehelyett megcsinalhatod azt, hogy letrehozol egy ms-dos progira mutato parancsikont, es annak a tulajdonsagainal megadhatsz (ha jol emlexem) autoexec.bat szoveget...
igy minden progidnek mas autoexec.batja lehet stb...
de pl globalisan is beallithatod a kornyezeti valtozokat az elobb altalam leirt modon...
(#) Tomee hozzászólása Dec 31, 2006 /
 
Üdv!

Ha a CPLD-ben egy buszt szeretnék kialakitani és minden egyébb erre csatlakozik rá akkor azt, hogyan tudom megcsinálni?
Ha nagy hülyeséget csinálok ami netán az adott makrocella vagy az egész cpld tönkremenetelét okozná akkor fordítás során úgye a fordító szolni fog?
Gondolok én arra, hogy tőbb "kocka" 8 bites kimenetét párhuzamosna kötöm.

B.U.É.K.!
(#) gtk válasza Tomee hozzászólására (») Jan 1, 2007 /
 
B.U.E.K

Sikerult XP alatt beallitani a kornyezeti valtozot,dpeti leirasa alapjan.
A WP is irja hogy kornyezeti valtozobol veszi az LPT cimet es idaig minden ok.A gond az hogy tovabbra sem mukodik a cucc.Megegyszer atneztem alaposan az egesz elektronikat,lathato hiba sehol sincs.

Kezdem feladni...
(#) gtk válasza gtk hozzászólására (») Jan 1, 2007 /
 
Az ECP cime FFFFFF (tobb/kevesebb F ) nem tudom hogy ez szamit ?
(#) Magor hozzászólása Jan 2, 2007 /
 
Sziasztok!

Szeretnélek megkérni beneteket, ha megvan a XC95288XL típusú TQ144 tokos rajzai (schematic, pcb) Protelben, küldjétek el nekem. Nagyon örülnék neki!

Köszönöm segítségeteket MaGor!
(#) Tomee hozzászólása Jan 3, 2007 /
 
Üdv!

Szerintetek ha adott egy 0.5mm lábtávolságú 100 vagy 144 lábú CPLD és ahhoz gyártatok nyákot akkor azt otthoni "hobbi" körülmények közőtt be tudom forrasztani vagy teljesen esélytelen? A páka egy Weller WSD81.
Már tőbb SOIC és SSOP tokot beforrasztottam.
(#) drmogus válasza Tomee hozzászólására (») Jan 3, 2007 /
 
Szia!
Szerintem betudod, gyakorlat teszi..
Nekem METCAL SP200 am van, de szinte "bármit " beforrasztok vele. Egyébként ennek van egy trükkje. Leteszed a tokot a pcbre, a 4 oldal sarkán pár lábat leforrasztassz, hogy ne mozduljon el, aztán ónnal összefuttatod az összes lábat. Utánna forr harisnyát ráteszed a lábakra és felűről melegíted. Ez leszedi az összes felesleges ónt és a forrasztás olyan mint a gyári. Nekem ez a technika bevállt.
(#) drmogus hozzászólása Jan 3, 2007 /
 
Hi!
Kellene kis segítség! Cool runner 2 nél találtam egy lábat: Vccaux. Jtag tápjához van köze, ahogy kivettem a doksiból azért van, mert pl ha más az IO fesz(ksiebb 3,3 nál), akkor is lehessen programozni szabvány JTAG on. Namármost nekem VCCcore 1,8V az IO 3,3. Szabvány JTAG ot használok (74hc125) ami megy 3,3 -al. Hova kössem a Vccaux -ot? Vcc IO -ra(ami 3,3v)? vagy be se kössem?
(#) Norberto válasza Magor hozzászólására (») Jan 3, 2007 /
 
Itt van, tessék!

Megnéztem a saját szememmel, és bennevan a szükséges alkatrész SCH-ja és tokozása is!
(#) Tomee válasza drmogus hozzászólására (») Jan 3, 2007 /
 
Megnéztem a CPLD starter kit kapcsi rajzát és ott a Vaux (8 pin XC2c256 esetében) össze van kötve a 3.3V io táppal.
A Vcore pedig 1.8V-on van.
(#) drmogus válasza Tomee hozzászólására (») Jan 3, 2007 /
 
Kösz Tomiee! Xc2c256 al tervezek én is,a feszkók detto ugyanez. A starter kit schját honan szerezted meg? Publikus?
(#) Tomee válasza drmogus hozzászólására (») Jan 3, 2007 /
 
Rajta volt a mellékelt cd-n.
De szerintem xilinx.com-on is találkoztam vele, de most nem találom.
(#) dpeti válasza drmogus hozzászólására (») Jan 3, 2007 /
 
mindegyik starter kit-é publikus, esetleg vannak olyan részletei a kapcsolásnak, amit kitakarnak...
pl spartan 3e starter kitben van usb platform cable áramkör, na azok ki vannak húzva feketével a rajzokról...
(#) Tomee válasza drmogus hozzászólására (») Jan 3, 2007 /
 
Én is ilyen rögzitem majd körbefuttaom módszerrel szoktam beforrasztani az SMD ic-ket, de néha marad az ón harisnyázás után egy nagyon kicsi (hajszál vékony) rövidzár.
Ez idáig ezt mindig észre vettem, de nem szeretnék egy CoolRunner2-t lefüstőlni.
(#) drmogus hozzászólása Jan 3, 2007 /
 
Hát ja, átnézni sosem árt, na meg nem occsó egy cool runnerral fűtteni Én 2*szoktam megharisnyárni, másodszorra néha teszek rá kicsi gyantát(ne sokat mert az szarul néz majd ki) akkor összeugrik az ón a lábakon
(#) Gory hozzászólása Jan 8, 2007 /
 
Van egy eladó Xilinx. CPLD starter kitem. A chipcad-es, XC9572XL és egy Coolrunner II van benne. Cd-vel dobozában bolti állapotban 10 ezerért. Egyszer sem volt használva. Én FPGA-ra gyűjtök Ha valakit érdekel írjon vagy 20/477-32-84.
(#) Gory válasza Gory hozzászólására (») Jan 11, 2007 /
 
Még mindig eladó
(#) Gory válasza Gory hozzászólására (») Jan 12, 2007 /
 
Elkelt
(#) gtk válasza Gory hozzászólására (») Jan 13, 2007 /
 
(#) drmogus hozzászólása Jan 31, 2007 /
 
Hali!

Segítségetek szeretném kérni ismét egy témában: FPGA + platform flash illesztés. Hogy működik, megoldások, tippek, ötletek, meg h egyáltalán mire használják és miért előnyös.
THX, mogus
(#) dpeti válasza drmogus hozzászólására (») Jan 31, 2007 /
 
A XILINX FPGAk-knak nincs olyan beépített memóriája, amiben a konfigurációját tárolja, vagyis van, csak az kikapcsolásnál elfelejt mindent. Ezért kell Platform flash, amibe beprogramozod az fpga összeköttetéseit, és indításnál ebből bootol fel az fpga. A Xilinx oldalán találsz ehhez anyagokat. Pl Spartan 3 vagy 3E starter kit-re rákeresel, és azok adatlapjában benne van, hogy mit mivel kell összekötni, de egy pillanat és keresek hozzá cimet...
(#) gtk válasza dpeti hozzászólására (») Feb 1, 2007 /
 
Hello.

Kozben kiderult,hogy miert nem indult el a hazigyartmanyu starter kitem.
Felfedeztem hogy a diptrace-ben altalam hasznalt 'LPT csatlakozo' labkiosztas szamozasa nem LPT szerint volt.

Ujra kotoztem a sima kabeles letolto csatin a labakat,de igy sem megy.Igy mar lassa hogy ott van de nem tudok ra letolteni.

Vajon tonkrement a CPLD?
Majd konkretan leirom miket ir ki.Esetleg megmerem az aramfelvetelet,abbol hatha kiderul hogy rossz-e.
Vadi uj volt
(#) gtk hozzászólása Feb 13, 2007 /
 
Sziasztok.

Valaki nem tud segiteni nagyon pontos 0.1 sec idoalap eloallitasaban ? (XC9572L)
Mindenfele segitseg jol jonne.

Koszi.
(#) dpeti hozzászólása Feb 23, 2007 /
 
Hi! ami a spartan3e starter kiten van Hi-Rose 100 tűs csatlakozó, ahhoz hol lehet kapni mamát (Mo-on)???
(#) dpeti válasza gtk hozzászólására (») Márc 9, 2007 /
 
"Valaki nem tud segiteni nagyon pontos 0.1 sec idoalap eloallitasaban ? (XC9572L)
Mindenfele segitseg jol jonne."

Ha még aktuális:
Oszd le az oszcillator frekvenciajat... fogsz egy regisztert, amit orajelenkent leptetsz, majd az X.-ik bitjet kivezeted, es az lesz a 2^(X+1) -el leosztott orajel...

Ha jol szamoltam, akkor 10 Mhz-es orajelnel, a 19. bitet hasznalva 104.8576 mSec lesz... ez mennyire jo neked?

de lehet szorakozni egyenletekkel...
(1/frek)*(2^(X+1))=0.1

(Ha hulyeseget irtam volna javitsatok, mert keso van)

Pl: orakvarc-bol lehet konnyen 1sec-osat csinalni, 64 el kell leosztani, a 0.1 sec-esen még gondolkodok, hogy hogyan lehetne pontosabbat...
(#) dpeti válasza dpeti hozzászólására (») Márc 9, 2007 /
 
ja megvan...


kiszamolod, hogy hanyadik periodusra eri el a szamlalo azt az erteket ami az adott orajel mellett 0.1 sec, kikapuzod a bitjeibol, plussz bekotod a reset-jere ezt a kikapuzott kimenetet, hogy torolje es kezdodjon elolrol az egesz... akkor ez a kimenet lesz egyben az idoalapod is... mar csak egy pontos oszcillator kell

De fejtagitaskent ott az elozo is...
(#) dpeti válasza dpeti hozzászólására (») Márc 9, 2007 /
 
module mydcm(sclk,out);
input sclk;
output out;

reg [25:0] cnt;
reg out;

always @(posedge sclk or posedge out)
if (out)
begin
cnt<=26'b0;
end
else
begin
cnt<=cnt+1;
end
// 50000000 -> 1 sec-et allitunk elo (50 Mhz oszc. frek.)

// 0.1 sec-hez kell 5 000 000
always @(posedge sclk) out<= (cnt == 26'd5000000)?1'b1:1'b0;

>>
Következő: »»   3 / 49
Bejelentkezés

Belépés

Hirdetés
Lapoda.hu     XDT.hu     HEStore.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem