Fórum témák

» Több friss téma
Fórum » CPLD, FPGA - Miértek, hogyanok
Lapozás: OK   1 / 49
(#) Tomee hozzászólása Dec 2, 2006 /
 
Gondoltam létrehozok egy ilyen topikot mivel odébb a digit szkópos topikban olvasottak után nekem is kedven támadt efféle dolgokkal foglalkozni.
Első kérdésem, hogy hogyan lehet a xilinx oldaláról letölteni az ISE webpackot?
Hiába nyomok rá a win változatra nem tölti le.
A 7.1-t már sikerült letöltenem egy másik oldalról, de én az újabbat is ki szeretném próbálni.
Már sch módban megrajzoltam pár kapuból álló próba kapcsolást, de a azt nem tudom, hogy ebből, hogy lesz a CPLD által érthető cuccc, illetve, hogy tudom ezt leszimulálni?

Az első nagy CPLD-s projektemben a egy nagy marék TTL busz meghajtó és D tároló ic-t szeretnék helyetesíteni CLD-vel. Szerintem nem egy nagy feladat csak sok I/O-t fog elvinni.

Segítségeteket köszönőm!
(#) MaSTeRFoXX válasza Tomee hozzászólására (») Dec 2, 2006 /
 
Szia!

Regisztrálsz, aztán ingyen le tudod tölteni...
970 mega

Gorytól hallottam hogy szimulálásra valami Modelsim nevű programot lehet használni, ami asszem fenn van szintén a xilinx oldalán. Bár még nem szedtem le, először programozót kéne épitenem de most nincs sok időm
(#) Tomee válasza MaSTeRFoXX hozzászólására (») Dec 2, 2006 /
 
A regisztráció is megvan és be vagyok jelentkezve. De fent az Ie büfőg, hogy valami filét akar letölteni. Mondom, hogy oké aztán meg semmit sem csinál. :nemtudom:
(#) bbatka válasza Tomee hozzászólására (») Dec 2, 2006 /
 
Miután regisztráltál. A simulátor programot külön is letudod tölteni. Azthiszem a Webpack is tartalmazza.
(#) bbatka válasza Tomee hozzászólására (») Dec 2, 2006 /
 
Elég foglalt az oldaluk. Többször kell próbálkozni. Letöltő programot ne használj.
(#) MaSTeRFoXX válasza Tomee hozzászólására (») Dec 2, 2006 /
 
Lehet hogy nem nagyon szabadna ezt a linket így felrakni, de innen lehet letölteni a WEBPACK-ot ---Letöltés---
(#) Tomee válasza MaSTeRFoXX hozzászólására (») Dec 2, 2006 /
 
Köszi!
De már kb 1 órája sikerült belönőm és most csordogál a cucc.
(#) Gory válasza Tomee hozzászólására (») Dec 3, 2006 /
 
Szerintem a ModelSim nincsen benne alapbóla webpackban, legalábbis a 7.1-ben tuti. Külön kell lehúzni. A jövő héten majd teszek fel néhány Verilogos ismertetőt meg egyszerű mintát.
(#) bbatka válasza Gory hozzászólására (») Dec 3, 2006 /
 
Valóban nincs benne. Rákerestettem a modelsim.exe-re de semmit sem talált a WinCommander. Viszont azt nem értem hogy most kell-e Registration ID vagy sem. Ugyanis nekem ilyet nem küldtek az Emailemre és a telepítő sem kérte. Szóval a WebPack nálam minden gond nélkül fut de a Registration ID : Unknown
(#) gtk válasza bbatka hozzászólására (») Dec 3, 2006 /
 
Nekem kuldtek ID-t,de nem hasznaltam.Es ugytunik hogy minden tovabbi nelkul fut a WP.
(#) Tomee válasza bbatka hozzászólására (») Dec 3, 2006 /
 
Nekem se küldek Registration ID-t és simán felment.
Igaz kicsit hosszú ideig tartott és sok helyet foglal.
(#) Tomee hozzászólása Dec 3, 2006 /
 
Szerintetek érdemes megvenni a Xilinx CPLD Starter kittet?
Tudom, hogy olcsóbb lenne venni egy CPLD-t + néhány alkatrészt hozzá és csinálni neki egy mini CPLD nyákot, de most nincs kedvem 50 mini lábat beforrasztani és utána a zárlatot keresni.
Majd a végén ha minden kész van akkor az egész egy kicsi hiba miatt.
(#) kyrk válasza Tomee hozzászólására (») Dec 3, 2006 /
 
Nekunk Spartan3 Strater Kit-un van. Szerintem ezt erdemes megvenni. Alapbol van rajta par cucc amivel lehet gyakorolni. Lehet venni hozza kiegeszitokartyakat Amicsktol. Persze csinalni is lehet. Kezdoknek sztm egesz jo. Igaz ez FPGA.

Xilinx ISE webpack szerintem egy kalap sz*r. Bugos, fagy, rosszul fordit. Rosszabb a lelkivilaga mint egy no. Kezdoknek sztm nem biztos, hogy jo. Mert nincs meg az a bizolom a progam fele, hogy o mindent jol tud. Pl.:

- egyik nap beegetem a bit filet akkor megy. Masik nap probalom ujraforditas nelkul, akkor nem megy.
- egyik nap beegetem a bit filet, akkor megy. masik nap beegetem ugyan azt akkor is megy. Ujraforditom es egetem nem megy.
- irok valamit es szimulalom. ott mukodik. beegetem akkor nem megy.
- lefagy, meghulyul, nem eget. Full gep reset utan megy. Nem egy gepen csinalta ezt nekem.

Meg az sem igaz amit kiir. Pl kiirja, hogy max orajel 54Mhz. Beraktam DCM-et, hogy 300Mhz-et csinaljon. Es azzal is ment a kapcsolas. Igaz csak egy szamlalo volt. De akkor is. Ha 54Mhz-et ir ki elvarom, hogy 20%-ot tevedjen max a fordito.
(#) Tomee válasza kyrk hozzászólására (») Dec 3, 2006 /
 
Akkor mit érdemes használni az Xilinx ISE webpack helyett.
Tudtok valami könyv vagy jegyzet félét amiből a CPLD-vel közelebről meg lehetne ismerkedni?
Pár dolgot a neten is találtam, de még tőbb okosságra lenne szükségem.
Meg valami jó magyarázatot ami leirja, hogy mit nyomkodjak és állítsak be a fejlesztő környezeten, hogy jól müködjőn.
(#) kyrk válasza Tomee hozzászólására (») Dec 3, 2006 /
 
Hali!

Nekunk fognak most oktatni Altium Designer-t. Ezzel azt mondjak lehet FPGA-s panelt tervezni. FPGA ala VHDL-ben programozni (persze kapcsolasi rajzot is tud) es letolteni. Szoval erdemes letolteni azt a progit es ismerkedni vele. Suliban valami mas kabelt hasznalnak hozza mint ami idehaza van, majd ennek is utananezek, hogy az micsoda. Eddig nem volt idom foglalkozni ezzel sajnos.

Persze ez nem azt jelenti, hogy ez a program tokeletes. En csak a xilinx ISE-t ismerem. De van mas progi FPGA-ra akkor szivesen megismernem, mert az ISE szerintem nem felhasznalobarat stb....
(#) Gory válasza Tomee hozzászólására (») Dec 3, 2006 /
 
Hi!

Nekem van CPLD kitem, a chipcad-es. Két cpld van benne, egy coolrunner 2 meg egy xc9572XL. Minden lába egy csatlakozóra ki van vezetve, amihez azt raksz amit akarsz, de alapból nincs rajta csak két LED.

A Spartan3 kit az megérné, de sajnos már nem lehet majd kapni a chipcad-ben, csak a drágábbik fajtát.
(#) MaSTeRFoXX válasza kyrk hozzászólására (») Dec 3, 2006 /
 
Valami servicepackról hablatyol az oldal.... Azt felraktad hozzá?
Mert én már felraktam a webpackot sp nélkül, de még nem használtam.
(#) kyrk válasza MaSTeRFoXX hozzászólására (») Dec 4, 2006 /
 
Hali!

Azt hiszem a 7.1i -hez felraktuk az SP-ket. De csak rosszabb lett tole. Akkor mar a kapcsi rajzokat is neha elrontotta.
(#) pokot hozzászólása Dec 4, 2006 /
 
Az ISE Webpack egy ingyenes, amolyan kezdőcsomag, amiben jól meglehet tanulni bánni a CPLD-kkel, FPGA-kkal. Alapszinten tudsz benne fejleszteni, de amint elkezded közelíteni az eszközöd határait exponenciálisan nőni kezdenek a hibák. Ekkor jönnek a fizetős programok(Chipscope,PlanAhead éspersze a WebPack fizetős testvére,...) ezeket arra találták ki hogy profi dolgokat fejlesszen az ember. Persze WebPack-el is lehet komoly szinteket elérni, de nem szabad hagyni hogy a fordító a saját útjait járja, meg kell adni bizonyos kényszereket és akkor javul a helyzet. És persze ki kell tapasztalni a WebPack-et is mint a nőket, ami szintén nem könnyű
(#) bbatka válasza pokot hozzászólására (») Dec 4, 2006 /
 
Lehet hogy nők írták a progit.
(#) Báddzsó válasza bbatka hozzászólására (») Dec 4, 2006 /
 
helósztok, az lenne a kérdésem, hogy egy ilyen cpld-t ,fpga-t mivel lehet felprogizni? Gondolok itt olyasmire mint a piceknél a jdm. Tehát csak egy sima progizó, debugger meg hasonló nélkül. kössz!
(#) Tomee válasza Báddzsó hozzászólására (») Dec 5, 2006 /
 
Ha jól tudom akkor JTAG letöltő kábel szükséges hozzá.
-= Link =-
(#) Tomee hozzászólása Dec 6, 2006 /
 
Letöltőttem ezt a ModelSim XE-t is és el se indúl.
Csak felvillantja a logóját (asszem splash screen-nek hívják) és utána bezáródik mintha semmi sem történt volna.
Most ezzel mit kezdjek? Hogy tudom kipróbálni amit az ISE Webpack-ban megcsináltam?
Milyen progival tudom felprogizni a CPLD-m?

Még egy kérdés amire választ nem nagyon találtam. A CPLD és az FPGA is a programját vagy a forráskódját valami EEPROM félében tárolja? (Tudom, hogy az FPGA-hoz külső EEPROM szükséges) Ez kb olyan sokszor programozható mint pl. egy átlagos eeprom?
(#) pokot hozzászólása Dec 6, 2006 /
 
felprogizni az impact nevü progival lehet, amelyet az ISE automatikusan indit, ha a generate PROM,vagy a Configure Device processre klikkelsz. A belső (FPGA esetében külső) Eepromot rengetegszer tudod irni, xc9500-eket 10.000*, a coolrunnereket 1.000* FPGA-knál meg tudod csinálni, hogy csak az SRAMba töltöd a progit, így nem fogy az írás/törlés ciklus
(#) eltexto hozzászólása Dec 12, 2006 /
 
Sziasztok !

Most csatlakoztam a forumhoz, mert látom itt nagyobb az aktivitás mint a ChipCad fórumjában. Nemrég vettem egy már korábban emlitett CPLD kit-et a ChipCad-től, XC2-XL. Most kezdtem el foglalkozni vele és én is letöltöttem az ISE8.2i-t, mert gondoltam jobb lessz talán mint a KIT-hez adott 7.1i, de sajnos bennem is az a vélemény alakult ki mint Kyrk-nél, hogy lassú, főleg a szimulátornál, 1.5G pagefile-nál néha aszongya kevés, egyszer a sch.ban bent maradt egy net, amit sehol nem találtam, úgy editáltam ki belőle, néha FATAL_ERROR, néha fagy, szóval olyan aminek adják, ajándék lónak.... . Vajon a fizetős is ilyen ?
Van egy további kérdésem is a viselkedésével kapcsolatban.
Ha hozzá akarom rendelni a signalokat a lábakhoz az "Assign Package Pins" processel és elindul a PACE, miért úgy látom csak a signalokat, hogy meg kell nyitnom az *.NGD file-t és a part selectort is be kell állitanom megfelelően ? És ha már volt *.UCF file, a transcript meg végig scrollozza az ablakot az UCF soraival, hogy nem tudja alkalmazni a constrain-okat ? Van amikor azt is kifogásolja, hogy a file nem *.VHD és innentöl *.VHF lesz. Aztán amikor kilépek és futtatom az XST-t az meg pont a forditottjára warningol. A clean up-ra megjavul. Most 8.2i SP3 -al próbálkozok, de a 7-esnél is igy működött. Korábban a 4-esnél a ChipViewer-el nem kellett
ennyit vacakolnom.
Tudja valaki a magyarázatot ?

Elöre is köszi

Üdv. Zoli
(#) Ictboy válasza eltexto hozzászólására (») Dec 14, 2006 /
 
Üdv!

Én még mindíg a 6.3-ast használom, mert az újabbakban a 9536 támogatása már nincs benne, viszont ez is tök jó egyszerű feladatokra, és olcsó...

(#) eltexto válasza Ictboy hozzászólására (») Dec 14, 2006 /
 
Szia!

Akkor a 8.2-be visszarakták, mert nálam lehet mind a háromfélét választani, 9536, XL, XV . ??

Üdv Zoli
(#) Tomee válasza eltexto hozzászólására (») Dec 15, 2006 /
 
Sziasztok!
Nekem a ChipScope Pro 8.204i-ből egy layout.bin filére lenne szükségem mert az enyémben rossz ez a filé. (Csomagolási hiba)
Ha valakinek lenne egy jó filéje és megosztaná velem annak nagyon hálás lennék.
Üdv.
(#) gtk válasza Tomee hozzászólására (») Dec 17, 2006 /
 
Sziasztok.

Tegnap kimarattam a nyakot XC9572 fejlesztopanelhoz.
(
XC9572 Experiment Board
)
Sajnos utolag vettem eszre hogy 50MHz-s oszcilatoraim joval nagyobbak mint a 8DIP valojaban nem is lattam sehol olyan mini oszcit mint az eredeti nyakon .
Nem tudom milyen lesz ha kulon egy pici nyakra teszem es onnan labakkal megy majd IC foglalatba(8 pin) ?

Nyak:

Kep141.jpg
    
(#) dpeti válasza gtk hozzászólására (») Dec 17, 2006 /
 
Szerintem ilyen oszcillatort egyreszt lehet ChipCadnel, meg barmely komolyabb boltban venni... probald meg az ElektroKontha-ban az Ulloi uton, vagy a Mikronikaban a Budafoki uton BME mogott...
Következő: »»   1 / 49
Bejelentkezés

Belépés

Hirdetés
Lapoda.hu     XDT.hu     HEStore.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem