Fórum témák

» Több friss téma
Fórum » BCD - hétszegmenses kijelző dekóder diódákkal
Lapozás: OK   1 / 1
(#) lelkes amatőr hozzászólása Okt 7, 2007 /
 
Hali.

Olyan őrűlt gondolatom támadt, hogy a közismert 7447-es IC-t hogyan lehetne kiváltani diódákkal?

Vagyis: a rendelkezésre álló BCD kóddal kellene meghajtani egy 1 digites kijelzőt IC felhasználása nélkül, csak diódákkal kikapuzva a meghajtást. (mondtam, hogy perverz gondolat )
Az egyéb kényelmi szolgáltatások (test, blink) nem kellenek.
Elméletben utána számoltam, lehetséges, hogy 64 dióda kellene hozzá?
(#) antennás válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Helló.
Az erősítőm bemenetválsztójának visszajelzőjét készítettem el 7 szegmenses kijelzővel.
Ott majdnem így oldottam meg a kapuzást, de aztán találtam TIL 311 -es kijelzőt, aminek a BCD bemeneteit 9db diódával kikapuztam, (beíróláb engedélyezéssel együtt) hogy 1-6-ig lépegessen szépen, a 6 állású "dzsekszli" kapcsoló kimeneteiről.
(#) Topi válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
0 => 6
1 => 2
2 => 5
3 => 5
4 => 4
5 => 5
6 => 6
7 => 3
8 => 7
9 => 6

Ez összesen csak 49 dióda.

Szerk: Most látom csak, hogy BCD...
Akkor tárgytalan. Felejtsd el.
Gondolj csak a 0-ára.
Ha 0-át kell kijelezni, akkor a BCD kód is: 0000.
Ezt bárhogy diódázod, sehogy nem lesz egy sem bekapcsolva.
(#) lelkes amatőr válasza Topi hozzászólására (») Okt 7, 2007 /
 
Szia Topi, sejtettem, hogy a Te fantáziádat megmozgatja a kérdés.

a 0 kijelzést a 10 bcd kódjához rendelted?
(#) Topi válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Pont egyszerre módosítottuk.
1010 -> ebből semmilyen kapuzással nem lesz 00111111

Íme pár példa:
0001 -> 00000110
0010 -> 01011011
0011 -> 01001111
0100 -> 01100110
0101 -> 01101101

Ebben nem nagyon van kizárólag VAGY kapcsolattal megoldható rész.
(#) borvendeg válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Én 1-től 6-ig 30db diódával meg tudtam játszani az erősítőm bemenetválasztóján a kijelzést nem nagy szám. Ha érdekel nyák rajzom van...
(#) lelkes amatőr válasza Topi hozzászólására (») Okt 7, 2007 /
 
A 0 kiírása nem is érdekes.

Tényleg összejön a többi 49 darabbal. (még ma meg is csinálom. )
(#) Topi válasza borvendeg hozzászólására (») Okt 7, 2007 /
 
De BCD-ből? Decimálisból tényleg kihozható diódával. De BCD-ből kétlem, hogy mind a 10 szám kirakható lenne.
(#) lelkes amatőr válasza Topi hozzászólására (») Okt 7, 2007 /
 
Igen, épp most nézegetem, ha több gombot nyomok meg, (pl. 7 esetén 1-2-4) akkor van egy kis galiba.
(#) antennás válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Megnézed az igazságtáblázatot, ott a 4 bemeneted, meg a 10 db kiírandó szám. Csak összeadod a pöttyöket és annyi dióda kell hozzá, azaz 17

IMGP4237.JPG
    
(#) lelkes amatőr válasza antennás hozzászólására (») Okt 7, 2007 /
 
ez idáig rendben is lenne...de! hogy lesz ebből 7 szegmens meghajtása?
(#) Attila86 válasza antennás hozzászólására (») Okt 7, 2007 /
 
Ez oké, de még a hét darab szegmenst is vezérelni kell.
(#) Attila86 válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Gyorsabb voltál.
(#) antennás válasza lelkes amatőr hozzászólására (») Okt 7, 2007 /
 
Így ni:
A rajzból kitűnik, hogy az 1-es 2-es és 4-es kiírásokhoz tarozó diódákat ki is lehet hagyni, így (az én esetemben 1-6 számolásnál) 9 helyett 6 dióda is elég.
(#) Topi válasza antennás hozzászólására (») Okt 7, 2007 /
 
De neki nem BCD bemenetű kijelzője van! Már sokadszorra mondtuk, hogy sima 7 szegmenses kijelző!
(#) antennás válasza antennás hozzászólására (») Okt 7, 2007 /
 
Ja, a rajzon ami a "C" láb mellé ment annak a "C" lábra kell menni.
(#) lelkes amatőr válasza antennás hozzászólására (») Okt 7, 2007 /
 
szép (és egyszerű) amit csináltál, de itt tényleg kicsit bonyolultabb a feladat.
(#) Topi hozzászólása Okt 7, 2007 /
 
Óriási logikai bukfencben vagytok, de én kevés vagyok ehhez úgy néz ki, hogy megértessem.

Dióda működéséből eredendően igaz az a szabály, hogy sok lábból lehet keveset csinálni vele.
Lényeg azon van, hogy sokból lehet keveset csinálni. A másik irány NEM MEGY. KEVÉS LÁBBÓL NEM LEHET SOKAT CSINÁLNI!

Fogadjátok már el.

Dióda logikai megfelelője a VAGY művelet. A BCD dekódolásához kell ÉS művelet is. Amit diódával nem lehet megoldani.
(#) antennás válasza lelkes amatőr hozzászólására (») Okt 7, 2007 / 1
 
A BCD átalakítását 7 szegmensre a 7447 pdf-jében meg lehet nézni, csak azt nem értem miért jó diódákból megcsinálni?
(#) lelkes amatőr válasza antennás hozzászólására (») Okt 7, 2007 /
 
néztem én is az adatlapot, elég összetett a dekódólás. A feldobott témának nincs gyakorlat jelentősége, egyfajta erőpróbának szántam a témát.
(#) _JANI_ válasza Topi hozzászólására (») Nov 14, 2007 /
 
Egy könyvben olvastam nemrég
(Szittya Ottó: Digitális és Analóg Technika Informatikusoknak I. 304-335oldal. )
h. az értékes hogikai szint lehet H és L szint is. A H aktívszint a pozitív logilásnak, míg az L akvív szint a negatív logílásnak felel meg. S megpróbálom levezetni a gyakorlatban a kérdést.

X1. X2. ÉS. Pozitív logika

L. L. = L
L. H. = L
H. L. = L
H. H. = H

X1. X2. VAGY. Negatív logika
-----------------------------------------------

X1. X2. VAGY. Pozitív logika

L. L. = L
L. H. = H
H. L. = H
H. H. = H

X1. X2. ÉS. Negatív logika
-----------------------------------------------


X1. X2. NAND. Pozitív logika

L. L. = H
L. H. = H
H. L. = H
H. H. = L

X1. X2. NOR. Negatív logika
-----------------------------------------------

X1. X2. NOR. Pozitív logika

L. L. = H
L. H. = L
H. L. = L
H. H. = L

X1. X2. NAND. Negatív logika
-----------------------------------------------


X1. X2. ANT. Pozitív logika

L. L. = L
L. H. = H
H. L. = H
H. H. = L
X1. X2. EKV. Negatív logika
-----------------------------------------------

X1. X2. EKV. Pozitív logika

L. L. = H
L. H. = L
H. L. = L
H. H. = H

X1. X2. ANT. Negatív logika
-----------------------------------------------

S ÍGY Ha a pozitív és negatív logikát ötvözzük, akkor diódákkal is kialakítható lessz (elméletileg) a BCD - 7szegmenses dekóder. De szerintem 4 inverter (A BDC tagadására.) akkoris kelleni fog Diódás kapcsolásnál könnyű belebonyolodni az atív logikai állapotokba.
(#) Rádió Kezdő válasza _JANI_ hozzászólására (») Jún 24, 2009 /
 
Jó az ötlet...
De szerintem ez lenne a logikája...
datasheet-ben találtam... (CD4543)

névtelen.JPG
    
(#) Bagodi hozzászólása Aug 23, 2018 /
 
Van 6db MC14513-al szerelt 7 szegmenses kijelzőm. Szeretnék belőle órát csinálni. Hogy tudnám meghajtani ezeket az IC-ket. Azt tudom , hogy BCD kódot kell küldenem 1-2-6-7-es lábakra és tudom, hogy ezeket a bemeneteket párhuzamba köthetem. De milyen módon válasszam ki, hogy melyik legyen aktív? Mármint melyik kijelző mit írjon ki?
(#) Gafly válasza Bagodi hozzászólására (») Aug 23, 2018 /
 
Lásd mellékelt linken. Sok sikert
(#) Hp41C válasza Bagodi hozzászólására (») Aug 23, 2018 /
 
24 vezetékes megoldás: Minden meghajtó külön kapja a neki megfelelő BCD adatot 4 - 4 vezetéken, az összes LE bemenet magas szintre kötve.
10 vezetékes megoldás: Minden meghajtó ugyan azon a 4 vezetéken kapja a BCD adatot. Ha az egyik adat (10 óra) már beállt a BCD vonalakon a megfelelő kijelző (a 10 órához tartozó) LE bemenetére egy impulzust kell adni. Az impulzus (magas szint) tárolja az adatot, ezután el lehet venni ill. másik adatot lehet a BCD vonalra kiadni és másik kijelzőbe tárolni.
8 vezetékes megoldás: Minden meghajtó ugyan azon a 4 vezetéken kapja a BCD adatot. Ha az egyik adat (10 óra) már beállt a BCD vonalakon a megfelelő kijelző (a 10 órához tartozó) LE bemenetére egy impulzust kell adni. A LE kiadását egy dekóderrel lehet megoldani, a címbemenetre a kijelző egység "címét" (0,1,..,5)kell adni és az engedélyező lejére egy pulzust. A dekóder kimenetének magas szintje tároltatja a BCD adatot a kijelzőkkel.
stb.
(#) Bagodi válasza Gafly hozzászólására (») Aug 23, 2018 /
 
Köszönöm!
(#) Bagodi válasza Hp41C hozzászólására (») Aug 23, 2018 /
 
Köszönöm!
Akkor evvel a módszerrel (8 vezetékes) akár közvetlenül arduinóval is meghajthatom?
Csak program kérdése.
(#) Hp41C válasza Bagodi hozzászólására (») Aug 23, 2018 /
 
Közvetlenül csak a 10 vezetékessel, a 8 vezetékeshez kell egy külső dekóder.
Következő: »»   1 / 1
Bejelentkezés

Belépés

Hirdetés
Lapoda.hu     XDT.hu     HEStore.hu
Az oldalon sütiket használunk a helyes működéshez. Bővebb információt az adatvédelmi szabályzatban olvashatsz. Megértettem